龙芯

龙芯

0个粉丝

18

问答

0

专栏

1

资料

龙芯  发布于  2008-10-02 20:46:03
采纳率 0%
18个问答
5287

采用等精度测频原理的频率计的程序与仿真

 
4位显示的等精度频率计
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity PLJ is
  port(clk:in std_logic;                      --基准时钟(10KHz)
      tclk:in std_logic;                     --被测信号
      start:in std_logic;                     --复位信号
      alarm0,alarm1:out std_logic;            --超量程,欠量程显示  
      dian:out std_logic_vector(3 downto 0);    --小数点
      data1:out integer range 0 to 9999);        --频率数据
end PLJ;  
architecture behav of PLJ is
signal q:integer range 0 to 9999;               --预置闸门分频系数
signal q1:integer range 0 to 10000;             --被测信号计数器
signal q2:integer range 0 to 20000;             --基准信号计数器
signal en,en1:std_logic;                      --预置闸门,实际闸门
signal qq,qqq:integer range 0 to 200000000;     --运算器
signal data0:integer range 0 to 9999;           --频率数据中间信号

begin
process(clk)                 --此进程得到一个预置闸门信号
begin
  if clk'event and clk='1' then
     if start='1' then q<=0;en<='0';
     elsif q=9999 then q<=9999;en<='0';
     else q<=q+1;en<='1';
     end if;
  end if;
end process;

process(tclk)               --此进程计被测信号脉冲数,和得到一个实际闸门信号
begin
  if tclk'event and tclk='1' then
     if start='1' then q1<=0;en1<='0';
     elsif en='1' then q1<=q1+1;en1<='1';
     else en1<='0';
     end if;
  end if;
end process;

process(clk)           --此进程完成在实际闸门时间内,计基准脉冲数   
begin
  if clk'event and clk='1' then
     if start='1' then q2<=0;
     elsif en1='1' then
        if q2=20000 then q2<=20000;
        else q2<=q2+1;
        end if;
     end if;
  end if;
end process;
  
process(clk)                --此进程完成等精度频率计的运算
begin
  if clk'event and clk='1' then
     if start='1' then data0<=0;dian<="0000";alarm0<='0';alarm1<='0';qqq<=0;qq<=00;
     elsif en1='0' then
        if q1>=1000  then qq<=q1*10000;          --根据q1的大小来判断小数点的位置
           if qqq            elsif data0>=10000 then alarm0<='1';                          --超量程显示         
           else data1<=data0;
           end if;
        elsif q1>=100 then qq<=q1*100000;
           if qqq            elsif data0>=10000 then data1<=1000;dian<="0000";  
           else data1<=data0;dian<="0010";
           end if;
        elsif q1>=10 then qq<=q1*1000000;
           if qqq            elsif data0>=10000 then data1<=1000;dian<="0010";  
           else data1<=data0;dian<="0100";
           end if;
        elsif q1>=1 then qq<=q1*10000000;
           if qqq            elsif data0>=10000 then data1<=1000;dian<="0100";  
           else data1<=data0;dian<="1000";
           end if;   
        end if;   
     elsif q2>19999 then alarm1<='1';                                    --欠量程显示
     else alarm1<='0';
     end if;
  end if;
end process;
end behav;

等精度频率计仿真图
说明:小数点dian在en1的下降沿就输出,在某些特殊情况(1000tclk=clk仿真图所示),只有当数据转换完成时,小数点dian的信号才能保证正确。用数据作为闸门来控制小数点dian信号输出,这部分可以在译码部分完成。

等精度频率计仿真图—欠量程

等精度频率计仿真图—超量程

等精度频率计仿真图—5tclk=clk

等精度频率计仿真图—9tclk=clk

等精度频率计仿真图—50tclk=clk

等精度频率计仿真图—80tclk=clk

等精度频率计仿真图—300tclk=clk

等精度频率计仿真图—1000tclk=clk

等精度频率计仿真图—5000tclk=clk

等精度频率计仿真图—6000tclk=clk

等精度频率计仿真图—10000tclk=clk
易百纳技术社区文件: 8.17 采用等精度测频原理的频率计程序与仿真.rar
下载
我来回答
回答2个
时间排序
认可量排序

AK47

0个粉丝

5

问答

0

专栏

0

资料

AK47 2013-01-29 23:48:16
认可0
学习,学习。。

czzhouyun

0个粉丝

0

问答

0

专栏

0

资料

czzhouyun 2013-05-16 10:37:20
认可0
学习学习。。。。。
或将文件直接拖到这里
悬赏:
E币
网盘
* 网盘链接:
* 提取码:
悬赏:
E币

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
+ 添加网盘链接/附件

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
举报反馈

举报类型

  • 内容涉黄/赌/毒
  • 内容侵权/抄袭
  • 政治相关
  • 涉嫌广告
  • 侮辱谩骂
  • 其他

详细说明

易百纳技术社区