关于混合信号接地,这有几个重要知识哟~

代码民工 2020-12-06 10:00:00 3363

大多数ADC、DAC和其他混合信号器件数据手册是针对单个PCB讨论接地,通常是制造商自己的评估板。将这些原理应用于多卡或多ADC/DAC系统时,就会让人感觉困惑茫然。通常建议将PCB接地层分为模拟层和数字层,并将转换器的 AGND 和 DGND 引脚连接在一起,并且在同一点连接模拟接地层和数字接地层,如图 1 所示。

图 1. 混合信号 IC 接地:单个 PCB(典型评估/测试板)

这样就基本在混合信号器件上产生了系统“星型”接地。所有高噪声数字电流通过数字电源流入数字接地层,再返回数字电源;与电路板敏感的模拟部分隔离开。系统星型接地结构出现在混合信号器件中模拟和数字接地层连接在一起的位置。

该方法一般用于具有单个 PCB 和单个 ADC/DAC 的简单系统,不适合多卡混合信号系统。在不同PCB(甚至在相同 PCB 上)上具有数个ADC 或 DAC的系统中,模拟和数字接地层在多个点连接,使得建立接地环路成为可能,而单点“星型”接地系统则不可能。鉴于以上原因,此接地方法不适用于多卡系统,上述方法应当用于具有低数字电流的混合信号IC。

具有低数字电流的混合信号IC的接地和去耦

敏感的模拟元件,例如放大器和基准电压源,必须参考和去耦至模拟接地层。具有低数字电流的 ADC 和 DAC(和其他混合信号 IC)一般应视为模拟元件,同样接地并去耦至模拟接地层。乍看之下,这一要求似乎有些矛盾,因为转换器具有模拟和数字接口,且通常有指定为模拟接地(AGND)和数字接地(DGND)的引脚。图 2 有助于解释这一两难问题。

图 2. 具有低内部数字电流的混合信号 IC 的正确接地

同时具有模拟和数字电路的 IC(例如 ADC 或 DAC)内部,接地通常保持独立,以免将数字信号耦合至模拟电路内。图 2 显示了一个简单的转换器模型。将芯片焊盘连接到封装引脚难免产生线焊电感和电阻,IC 设计人员对此是无能为力的,心中清楚即可。快速变化的数字电流在 B 点产生电压,且必然会通过杂散电容 CSTRAY耦合至模拟电路的 A 点。此外,IC 封装的每对相邻引脚间约有 0.2 pF的杂散电容,同样无法避免!IC 设计人员的任务是排除此影响让芯片正常工作。

不过,为了防止进一步耦合,AGND 和 DGND 应通过最短的引线在外部连在一起,并接到模拟接地层。DGND 连接内的任何额外阻抗将在 B点产生更多数字噪声;继而使更多数字噪声通过杂散电容耦合至模拟电路。请注意,将 DGND 连接到数字接地层会在 AGND 和 DGND 引脚两端施加VNOISE,带来严重问题!

“DGND”名称表示此引脚连接到 IC 的数字地,但并不意味着此引脚必须连接到系统的数字地。可以更准确地将其称为 IC 的内部“数字回路”。

这种安排确实可能给模拟接地层带来少量数字噪声,但这些电流非常小,只要确保转换器输出不会驱动较大扇出(通常不会如此设计)就能降至最低。将转换器数字端口上的扇出降至最低(也意味着电流更低),还能让转换器逻辑转换波形少受振铃影响,尽可能减少数字开关电流,从而减少至转换器模拟端口的耦合。通过插入小型有损铁氧体磁珠,如图 2 所示,逻辑电源引脚 pin (VD)可进一步与模拟电源隔离。转换器的内部瞬态数字电流将在小环路内流动,从 VD经去耦电容到达 DGND (此路径用图中红线表示)。因此瞬态数字电流不会出现在外部模拟接地层上,而是局限于环路内。VD 引脚去耦电容应尽可能靠近转换器安装,以便将寄生电感降至最低。去耦电容应为低电感陶瓷型,通常介于 0.01 μF (10 nF)和 0.1 μF (100 nF) 之间。

再强调一次,没有任何一种接地方案适用于所有应用。但是,通过了解各个选项和提前进行规则,可以最大程度地减少问题。

来自:电子头条-电子工程世界

声明:本文内容由易百纳平台入驻作者撰写,文章观点仅代表作者本人,不代表易百纳立场。如有内容侵权或者其他问题,请联系本站进行删除。
红包 1 2 评论 打赏
评论
0个
内容存在敏感词
手气红包
    易百纳技术社区暂无数据
相关专栏
置顶时间设置
结束时间
删除原因
  • 广告/SPAM
  • 恶意灌水
  • 违规内容
  • 文不对题
  • 重复发帖
打赏作者
易百纳技术社区
代码民工
您的支持将鼓励我继续创作!
打赏金额:
¥1易百纳技术社区
¥5易百纳技术社区
¥10易百纳技术社区
¥50易百纳技术社区
¥100易百纳技术社区
支付方式:
微信支付
支付宝支付
易百纳技术社区微信支付
易百纳技术社区
打赏成功!

感谢您的打赏,如若您也想被打赏,可前往 发表专栏 哦~

举报反馈

举报类型

  • 内容涉黄/赌/毒
  • 内容侵权/抄袭
  • 政治相关
  • 涉嫌广告
  • 侮辱谩骂
  • 其他

详细说明

审核成功

发布时间设置
发布时间:
是否关联周任务-专栏模块

审核失败

失败原因
备注
拼手气红包 红包规则
祝福语
恭喜发财,大吉大利!
红包金额
红包最小金额不能低于5元
红包数量
红包数量范围10~50个
余额支付
当前余额:
可前往问答、专栏板块获取收益 去获取
取 消 确 定

小包子的红包

恭喜发财,大吉大利

已领取20/40,共1.6元 红包规则

    易百纳技术社区