龙芯

龙芯

0个粉丝

18

问答

0

专栏

1

资料

龙芯  发布于  2008-10-02 20:17:49
采纳率 0%
18个问答
3490

TLC5510 VHDL控制程序

 
--文件名:TLC5510.vhd
--功能:基于VHDL语言,实现对高速A/D器件TLC5510控制
--最后修改日期:2004.3.20
library ieee;
use ieee.std_logic_1164.all;
entity tlc5510 is
port(clk :in std_logic;                              --系统时钟
     oe :out std_logic;                            --TLC5510的输出使能/OE
     clk1:out std_logic;                           --TLC5510的转换时钟
     din:in std_logic_vector(7 downto 0);            --来自TLC5510的采样数据
     dout:out std_logic_vector(7 downto 0));          --FPGA数据输出
end tlc5510;
architecture behav of tlc5510 is
signal q:integer range 3 downto 0;
begin
process(clk)                --此进程中,把CLK 进行4分频,得到TLC5510的转换时钟
begin
if clk'event and clk='1' then
   if q=3 then q<=0;
   else q<=q+1;
   end if;
end if;
if q>=2 then clk1<='1';                                      --对系统CLK进行4分频
else clk1<='0';
end if;
end process;
oe<='0';                                                       --输出使能赋低电平                                                         
dout<=din;                                                        --采样数据输出                  
end behav;
我来回答
回答0个
时间排序
认可量排序
易百纳技术社区暂无数据
或将文件直接拖到这里
悬赏:
E币
网盘
* 网盘链接:
* 提取码:
悬赏:
E币

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
+ 添加网盘链接/附件

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
相关问答
无更多相似问答 去提问
举报反馈

举报类型

  • 内容涉黄/赌/毒
  • 内容侵权/抄袭
  • 政治相关
  • 涉嫌广告
  • 侮辱谩骂
  • 其他

详细说明

易百纳技术社区