zxj123

zxj123

0个粉丝

92

问答

0

专栏

0

资料

zxj123  发布于  2012-12-24 15:01:43
采纳率 0%
92个问答
3230

vhdl 74160程序

 
vhdl 74160程序

LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

ENTITY ls160 IS PORT(data: in std_logic_vector(3 downto 0);
          clk,ld,p,t,clr:in std_logic;
     count: buffer std_logic_vector(3 downto 0);
           tc:out std_logic);
END ls160;

ARCHITECTURE behavior OF ls160 IS
BEGIN  
tc<='1' when (count="1001" and p='1' and t='1' and ld='1' and clr='1') else '0';

cale:
process(clk,clr,p,t,ld)
   begin
     if(rising_edge(clk)) then
      if(clr='1')then
       if(ld='1')then
        if(p='1')then
         if(t='1')then
          if(count="1001")then
           count<="0000";
          else
           count<=count+1;
          end if;
         else
          count<=count;
         end if;
        else
         count<=count;
        end if;
       else
         count<=data;
       end if;
      else
       count<="0000";
      end if;   
    end if;
end process cale;      
END behavior;



我来回答
回答0个
时间排序
认可量排序
易百纳技术社区暂无数据
或将文件直接拖到这里
悬赏:
E币
网盘
* 网盘链接:
* 提取码:
悬赏:
E币

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
+ 添加网盘链接/附件

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
相关问答
无更多相似问答 去提问
举报反馈

举报类型

  • 内容涉黄/赌/毒
  • 内容侵权/抄袭
  • 政治相关
  • 涉嫌广告
  • 侮辱谩骂
  • 其他

详细说明

易百纳技术社区