虽万人吾往矣

虽万人吾往矣

3个粉丝

17

问答

42

专栏

86

资料

虽万人吾往矣  发布于  2023-02-02 08:58:42
采纳率 24%
17个问答
奥利给~~
859

Basys3 时钟上升沿很长,是什么原因?求大神指点!!!

 

大家好,我在用 Basys3 做一个简单的电路时,发现一个问题。
程序代码:
module Pmod_Top(
input clk,
output clkout
);

  1. assign clkout = clk;

endmodule

约束文件:

Clock signal

set_property PACKAGE_PIN W5 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]

Pmod Header JA

Sch name = JA1

set_property PACKAGE_PIN J1 [get_ports clkout]
set_property IOSTANDARD LVCMOS33 [get_ports clkout]

问题:
用示波器查看 JA1 口,发现上升沿和下降沿时间都太长了,导致波形严重失真。
有没有人知道为什么呢?在此感谢大家。

我来回答
回答1个
时间排序
认可量排序

0个粉丝

1

问答

0

专栏

3

资料

该用户已注销 2023-02-13 09:41:12
认可0

这个很容易,你肯定在JA1口加大电容了,或者长走线,再或者探头问题,你可以看下,即使板子断电,电压也不会马上下来。

或将文件直接拖到这里
悬赏:
E币
网盘
* 网盘链接:
* 提取码:
悬赏:
E币

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
+ 添加网盘链接/附件

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
举报反馈

举报类型

  • 内容涉黄/赌/毒
  • 内容侵权/抄袭
  • 政治相关
  • 涉嫌广告
  • 侮辱谩骂
  • 其他

详细说明

易百纳技术社区