tech_surfer

tech_surfer

0个粉丝

1

问答

0

专栏

0

资料

tech_surfer  发布于  2024-01-03 18:40:24
采纳率 0%
1个问答
1484

hi3519dv500 运行yolov5 demo 异常

   

Bug

在Hi3519DV500平台
参考01.Software\pc\SVP_NPU\快速上手指南.pdf``3.2.2 运行示例运行yolov5 demo
工程路径SVP_NNN_PC_V3.0.1.3/Sample/samples/2_object_detection/yolo
在运行时

main 是否提示model execute success Note
./func_main 5 提示 最后输出检测图片
./inst_main 5 提示 svp_acl_mdl_execute()执行报warning:**ERROR(000000Z0YZKH2BMJEX)model((nil)) or modelId(0x7ffc74cabdd4) is nullptr!**,但最后输出检测图片
./board_glibc_main 5 不提示 执行svp_acl_mdl_execute()卡住

Log

func_main

./func_main 5
<SVP_Docker_GPU> [root@techer-virtual-machine]:/home/SVP_NNN_PC_V3.0.1.3/Sample/samples/2_object_detection/yolo/out$ ./func_main 5
[INFO]  ./main param
param is model and input image bin pair(default 1)
[INFO]  param 1: yolov1.om and dog_bike_car_yolov1.bin
[INFO]  param 2: yolov2.om and dog_bike_car_yolov2.bin
[INFO]  param 3: yolov3.om and dog_bike_car_yolov3.bin
[INFO]  param 4: yolov4.om and dog_bike_car_yolov4.bin
[INFO]  param 5: yolov5.om and dog_bike_car_yolov5.bin
[INFO]  param 5_cpu: yolov5_cpu.om and dog_bike_car_yolov5.bin
[INFO]  param 7: yolov7.om and dog_bike_car_yolov7.bin
[INFO]  param 8: yolov8.om and dog_bike_car_yolov8.bin
[INFO]  param x: yolox.om and dog_bike_car_yolovx.bin
[INFO]  yolo v5
[WARN] configJson don't contain dump or profiler
[INFO] start svp_acl_init
[WARN] configJson don't contain dump or profiler
[INFO]  acl init success
[INFO]  open device 0 success
[INFO]  set op wait time success
[INFO]  create context success
[INFO]  create stream success
[INFO]  get run mode success
[INFO] start svp_acl_mdl_load_from_mem
[INFO] ------------ funcsim lib info ------------
[INFO] ver:     1.2.8
[INFO] hash:    9a0ec926
[INFO] time:    2023/10/13 12:50:40
[INFO] --------------- model info ---------------
[INFO] hash:    31bb1cd0
[INFO] arch:    V110
[INFO] LoadModel modelId(0) success
[INFO]  load model ../model/yolov5_original.om success
[INFO]  create model description success
[INFO]  create model output success
[INFO]  start to process file:../data/dog_bike_car_yolov5.bin
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  GetStrideParam success
[INFO]  GetInputDataSize == 0 success
[INFO]  get pic device buffer success
[INFO]  execute InitInput success
[INFO]  execute CreateInput success
[INFO]  CreateInputBuf success
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  CreateTaskBufAndWorkBuf success
[INFO]  modelId_:0
[INFO] start svp_acl_mdl_execute
[INFO] Forward modelId(0) dynamicSizeId(0) start
[INFO]  -----> TimeStat start <Forward> -----> 
[INFO] [  0%] layer forward id(0)          type(preprocess)         name(images)                       
[INFO] [  1%] layer forward id(106)        type(preprocess)         name(rpn)                          
[INFO] set detPara nms[0.450000]
[INFO] set detPara score[0.500000]
[INFO] set detPara minheight[1.000000]
[INFO] set detPara minwidth[1.000000]
[INFO] [  2%] layer forward id(1)          type(convolution)        name(Conv_0)                       
[INFO] [  3%] layer forward id(2)          type(convolution)        name(Conv_3)                       
[INFO] [  4%] layer forward id(3)          type(convolution)        name(Conv_6)                       
[INFO] [  4%] layer forward id(7)          type(convolution)        name(Conv_16)                      
[INFO] [  5%] layer forward id(4)          type(convolution)        name(Conv_9)                       
[INFO] [  6%] layer forward id(5)          type(convolution)        name(Conv_12)                      
[INFO] [  7%] layer forward id(6)          type(eltwise)            name(Add_15)                       
[INFO] [  8%] layer forward id(8)          type(concat)             name(Concat_19)                    
[INFO] [  9%] layer forward id(9)          type(convolution)        name(Conv_20)                      
[INFO] [ 10%] layer forward id(10)         type(convolution)        name(Conv_23)                      
[INFO] [ 11%] layer forward id(11)         type(convolution)        name(Conv_26)                      
[INFO] [ 12%] layer forward id(18)         type(convolution)        name(Conv_43)                      
[INFO] [ 12%] layer forward id(12)         type(convolution)        name(Conv_29)                      
[INFO] [ 13%] layer forward id(13)         type(convolution)        name(Conv_32)                      
[INFO] [ 14%] layer forward id(14)         type(eltwise)            name(Add_35)                       
[INFO] [ 15%] layer forward id(15)         type(convolution)        name(Conv_36)                      
[INFO] [ 16%] layer forward id(16)         type(convolution)        name(Conv_39)                      
[INFO] [ 17%] layer forward id(17)         type(eltwise)            name(Add_42)                       
[INFO] [ 18%] layer forward id(19)         type(concat)             name(Concat_46)                    
[INFO] [ 19%] layer forward id(20)         type(convolution)        name(Conv_47)                      
[INFO] [ 19%] layer forward id(21)         type(convolution)        name(Conv_50)                      
[INFO] [ 20%] layer forward id(22)         type(convolution)        name(Conv_53)                      
[INFO] [ 21%] layer forward id(32)         type(convolution)        name(Conv_77)                      
[INFO] [ 22%] layer forward id(23)         type(convolution)        name(Conv_56)                      
[INFO] [ 23%] layer forward id(24)         type(convolution)        name(Conv_59)                      
[INFO] [ 24%] layer forward id(25)         type(eltwise)            name(Add_62)                       
[INFO] [ 25%] layer forward id(26)         type(convolution)        name(Conv_63)                      
[INFO] [ 26%] layer forward id(27)         type(convolution)        name(Conv_66)                      
[INFO] [ 27%] layer forward id(28)         type(eltwise)            name(Add_69)                       
[INFO] [ 27%] layer forward id(29)         type(convolution)        name(Conv_70)                      
[INFO] [ 28%] layer forward id(30)         type(convolution)        name(Conv_73)                      
[INFO] [ 29%] layer forward id(31)         type(eltwise)            name(Add_76)                       
[INFO] [ 30%] layer forward id(33)         type(concat)             name(Concat_80)                    
[INFO] [ 31%] layer forward id(34)         type(convolution)        name(Conv_81)                      
[INFO] [ 32%] layer forward id(35)         type(convolution)        name(Conv_84)                      
[INFO] [ 33%] layer forward id(40)         type(convolution)        name(Conv_97)                      
[INFO] [ 34%] layer forward id(36)         type(convolution)        name(Conv_87)                      
[INFO] [ 35%] layer forward id(37)         type(convolution)        name(Conv_90)                      
[INFO] [ 35%] layer forward id(38)         type(convolution)        name(Conv_93)                      
[INFO] [ 36%] layer forward id(39)         type(eltwise)            name(Add_96)                       
[INFO] [ 37%] layer forward id(41)         type(concat)             name(Concat_100)                   
[INFO] [ 38%] layer forward id(42)         type(convolution)        name(Conv_101)                     
[INFO] [ 39%] layer forward id(43)         type(convolution)        name(Conv_104)                     
[INFO] [ 40%] layer forward id(49)         type(nop)                name(Concat_110_bridge_0_0)        
[INFO] [ 41%] layer forward id(44)         type(poolingmax)         name(MaxPool_107)                  
[INFO] [ 42%] layer forward id(45)         type(poolingmax)         name(MaxPool_108)                  
[INFO] [ 42%] layer forward id(48)         type(nop)                name(Concat_110_bridge_1_0)        
[INFO] [ 43%] layer forward id(47)         type(nop)                name(Concat_110_bridge_2_0)        
[INFO] [ 44%] layer forward id(46)         type(poolingmax)         name(MaxPool_109)                  
[INFO] [ 45%] layer forward id(50)         type(concat)             name(Concat_110)                   
[INFO] [ 46%] layer forward id(51)         type(convolution)        name(Conv_111)                     
[INFO] [ 47%] layer forward id(52)         type(convolution)        name(Conv_114)                     
[INFO] [ 48%] layer forward id(53)         type(upsample)           name(Resize_118)                   
[INFO] [ 49%] layer forward id(54)         type(concat)             name(Concat_119)                   
[INFO] [ 50%] layer forward id(55)         type(convolution)        name(Conv_120)                     
[INFO] [ 50%] layer forward id(58)         type(convolution)        name(Conv_129)                     
[INFO] [ 51%] layer forward id(56)         type(convolution)        name(Conv_123)                     
[INFO] [ 52%] layer forward id(57)         type(convolution)        name(Conv_126)                     
[INFO] [ 53%] layer forward id(59)         type(concat)             name(Concat_132)                   
[INFO] [ 54%] layer forward id(60)         type(convolution)        name(Conv_133)                     
[INFO] [ 55%] layer forward id(61)         type(convolution)        name(Conv_136)                     
[INFO] [ 56%] layer forward id(62)         type(upsample)           name(Resize_140)                   
[INFO] [ 57%] layer forward id(63)         type(concat)             name(Concat_141)                   
[INFO] [ 58%] layer forward id(67)         type(convolution)        name(Conv_151)                     
[INFO] [ 58%] layer forward id(64)         type(convolution)        name(Conv_142)                     
[INFO] [ 59%] layer forward id(65)         type(convolution)        name(Conv_145)                     
[INFO] [ 60%] layer forward id(66)         type(convolution)        name(Conv_148)                     
[INFO] [ 61%] layer forward id(68)         type(concat)             name(Concat_154)                   
[INFO] [ 62%] layer forward id(69)         type(convolution)        name(Conv_155)                     
[INFO] [ 63%] layer forward id(70)         type(convolution)        name(Conv_158)                     
[INFO] [ 64%] layer forward id(100)        type(convolution)        name(Conv_198)                     
[INFO] [ 65%] layer forward id(71)         type(concat)             name(Concat_161)                   
[INFO] [ 65%] layer forward id(101)        type(slice)              name(Split_199)                    
[INFO] [ 66%] layer forward id(72)         type(convolution)        name(Conv_162)                     
[INFO] [ 67%] layer forward id(75)         type(convolution)        name(Conv_171)                     
[INFO] [ 68%] layer forward id(102)        type(reshape)            name(Reshape_201)                  
[INFO] [ 69%] layer forward id(103)        type(reshape)            name(Reshape_203)                  
[INFO] [ 70%] layer forward id(104)        type(reshape)            name(Reshape_205)                  
[INFO] [ 71%] layer forward id(73)         type(convolution)        name(Conv_165)                     
[INFO] [ 72%] layer forward id(105)        type(concat)             name(Concat_206)                   
[INFO] [ 73%] layer forward id(74)         type(convolution)        name(Conv_168)                     
[INFO] [ 73%] layer forward id(107)        type(decbbox)            name(DecBBox_207)                  
[INFO] [ 74%] layer forward id(76)         type(concat)             name(Concat_174)                   
[INFO] [ 75%] layer forward id(77)         type(convolution)        name(Conv_175)                     
[INFO] [ 76%] layer forward id(78)         type(convolution)        name(Conv_178)                     
[INFO] [ 77%] layer forward id(93)         type(convolution)        name(Conv_208)                     
[INFO] [ 78%] layer forward id(79)         type(concat)             name(Concat_181)                   
[INFO] [ 79%] layer forward id(94)         type(slice)              name(Split_209)                    
[INFO] [ 80%] layer forward id(95)         type(reshape)            name(Reshape_211)                  
[INFO] [ 81%] layer forward id(96)         type(reshape)            name(Reshape_213)                  
[INFO] [ 81%] layer forward id(97)         type(reshape)            name(Reshape_215)                  
[INFO] [ 82%] layer forward id(80)         type(convolution)        name(Conv_182)                     
[INFO] [ 83%] layer forward id(83)         type(convolution)        name(Conv_191)                     
[INFO] [ 84%] layer forward id(98)         type(concat)             name(Concat_216)                   
[INFO] [ 85%] layer forward id(81)         type(convolution)        name(Conv_185)                     
[INFO] [ 86%] layer forward id(99)         type(decbbox)            name(DecBBox_217)                  
[INFO] [ 87%] layer forward id(82)         type(convolution)        name(Conv_188)                     
[INFO] [ 88%] layer forward id(84)         type(concat)             name(Concat_194)                   
[INFO] [ 88%] layer forward id(85)         type(convolution)        name(Conv_195)                     
[INFO] [ 89%] layer forward id(86)         type(convolution)        name(Conv_218)                     
[INFO] [ 90%] layer forward id(87)         type(slice)              name(Split_219)                    
[INFO] [ 91%] layer forward id(88)         type(reshape)            name(Reshape_221)                  
[INFO] [ 92%] layer forward id(89)         type(reshape)            name(Reshape_223)                  
[INFO] [ 93%] layer forward id(90)         type(reshape)            name(Reshape_225)                  
[INFO] [ 94%] layer forward id(91)         type(concat)             name(Concat_226)                   
[INFO] [ 95%] layer forward id(92)         type(decbbox)            name(DecBBox_227)                  
[INFO] [ 96%] layer forward id(108)        type(concat)             name(Concat_228)                   
[INFO] [ 96%] layer forward id(109)        type(filterbox)          name(Filter_229)                   
[INFO] [ 97%] layer forward id(110)        type(sort)               name(Sort_230)                     
[INFO] [ 98%] layer forward id(111)        type(nms)                name(NMS_231)                      
[INFO] [ 99%] layer forward id(112)        type(reportop)           name(NMS_231_report_0_0)           
[INFO] [100%] layer forward id(113)        type(reportop)           name(NMS_231_report_0_1)           
[INFO]  <----- TimeStat end   <Forward> <----- 20427 ms
[INFO] Forward modelId(0) success
[INFO]  model execute success
[INFO]  execute inference success
[INFO]  dump data success
[INFO]  input image width[640]; height[640]
[INFO]  current class valid box number is: 1
[INFO]  lx: 0.000000, ly: 409.000000, rx: 312.250000, ry: 622.000000, score: 0.918945; class id: 1
[INFO]  current class valid box number is: 1
[INFO]  lx: 21.625000, ly: 188.875000, rx: 261.500000, ry: 279.000000, score: 0.926270; class id: 2
[INFO]  current class valid box number is: 1
[INFO]  lx: 388.250000, ly: 405.500000, rx: 574.000000, ry: 629.500000, score: 0.910645; class id: 14
[INFO]  current class valid box number is: 1
[INFO]  lx: 386.500000, ly: 21.750000, rx: 584.000000, ry: 287.750000, score: 0.880859; class id: 16
[INFO]  output data success
[INFO] start svp_acl_mdl_unload
[INFO] UnloadModel modelId(0) success
[INFO]  unload model success, modelId is 0
[INFO]  execute sample success
[INFO]  end to destroy stream
[INFO]  end to destroy context
[INFO]  end to reset device is 0
[INFO] start svp_acl_finalize
[INFO]  end to finalize acl

inst_main

./inst_main 5
<SVP_Docker_GPU> [root@techer-virtual-machine]:/home/SVP_NNN_PC_V3.0.1.3/Sample/samples/2_object_detection/yolo/out$ ./inst_main 5
[INFO]  ./main param
param is model and input image bin pair(default 1)
[INFO]  param 1: yolov1.om and dog_bike_car_yolov1.bin
[INFO]  param 2: yolov2.om and dog_bike_car_yolov2.bin
[INFO]  param 3: yolov3.om and dog_bike_car_yolov3.bin
[INFO]  param 4: yolov4.om and dog_bike_car_yolov4.bin
[INFO]  param 5: yolov5.om and dog_bike_car_yolov5.bin
[INFO]  param 5_cpu: yolov5_cpu.om and dog_bike_car_yolov5.bin
[INFO]  param 7: yolov7.om and dog_bike_car_yolov7.bin
[INFO]  param 8: yolov8.om and dog_bike_car_yolov8.bin
[INFO]  param x: yolox.om and dog_bike_car_yolovx.bin
[INFO]  yolo v5
[WARN] configJson don't contain dump or profiler
[INFO]  acl init success
[INFO]  open device 0 success
[INFO]  set op wait time success
[INFO]  create context success
[INFO]  create stream success
[INFO]  get run mode success
InstSimVersion: InstV110R001C001_V1.0.0.0_4fdf31f56
[INFO]  load model ../model/yolov5_original.om success
[INFO]  create model description success
[INFO]  create model output success
[INFO]  start to process file:../data/dog_bike_car_yolov5.bin
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  GetStrideParam success
[INFO]  GetInputDataSize == 0 success
[INFO]  get pic device buffer success
[INFO]  execute InitInput success
[INFO]  execute CreateInput success
[INFO]  CreateInputBuf success
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  CreateTaskBufAndWorkBuf success
[INFO]  modelId_:0
begin run seg 0
seed: 1336631040

*********************************************************
WARNING(0000000000007KKEWT)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)
RunSimulator take 55.8411 seconds
finish run seg 0
nextSegId 1
[INFO]  model execute success
[INFO]  execute inference success
[INFO]  dump data success
[INFO]  input image width[640]; height[640]
[INFO]  current class valid box number is: 1
[INFO]  lx: 0.000000, ly: 409.000000, rx: 312.250000, ry: 622.000000, score: 0.918945; class id: 1
[INFO]  current class valid box number is: 1
[INFO]  lx: 21.625000, ly: 188.875000, rx: 261.500000, ry: 279.000000, score: 0.926270; class id: 2
[INFO]  current class valid box number is: 1
[INFO]  lx: 388.250000, ly: 405.500000, rx: 574.000000, ry: 629.500000, score: 0.910645; class id: 14
[INFO]  current class valid box number is: 1
[INFO]  lx: 386.500000, ly: 21.750000, rx: 584.000000, ry: 287.750000, score: 0.880859; class id: 16
[INFO]  output data success
[INFO]  unload model success, modelId is 0
[INFO]  execute sample success
[INFO]  end to destroy stream
[INFO]  end to destroy context
[INFO]  end to reset device is 0
[INFO]  end to finalize acl
inst_error.log

*********************************************************
ERROR(000000Z0YZKH2BMJEX)model((nil)) or modelId(0x7ffc74cabdd4) is nullptr!

*********************************************************
ERROR(000000035ZKH2BMLXL)devPtr is nullptr

*********************************************************
WARNING(0000000000007KKEWT)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)
inst_error.log

*********************************************************
ERROR(000000Z0YZKH2BMJEX)model((nil)) or modelId(0x7ffc74cabdd4) is nullptr!

*********************************************************
ERROR(000000035ZKH2BMLXL)devPtr is nullptr

*********************************************************
WARNING(0000000000007KKEWT)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000000003H3MXW)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

*********************************************************
WARNING(0000000DMHUD2Q2PJR)

board_glibc_main

./board_glibc_main 5
~ # export LD_LIBRARY_PATH=/home/getnfs/lib/amp_a55_linux_e/lib:/home/getnfs/lib/opencv/lib:$LD_LIBRARY_PATH
~ # 
~ # cd /home/getnfs/
/home/getnfs # cd out
/home/getnfs/out # ./board_glibc_main 5
[INFO]  ./main param
param is model and input image bin pair(default 1)
[INFO]  param 1: yolov1.om and dog_bike_car_yolov1.bin
[INFO]  param 2: yolov2.om and dog_bike_car_yolov2.bin
[INFO]  param 3: yolov3.om and dog_bike_car_yolov3.bin
[INFO]  param 4: yolov4.om and dog_bike_car_yolov4.bin
[INFO]  param 5: yolov5.om and dog_bike_car_yolov5.bin
[INFO]  param 5_cpu: yolov5_cpu.om and dog_bike_car_yolov5.bin
[INFO]  param 7: yolov7.om and dog_bike_car_yolov7.bin
[INFO]  param 8: yolov8.om and dog_bike_car_yolov8.bin
[INFO]  param x: yolox.om and dog_bike_car_yolovx.bin
[INFO]  yolo v5
[INFO]  acl init success
[INFO]  open device 0 success
[INFO]  set op wait time success
[INFO]  create context success
[INFO]  create stream success
[INFO]  get run mode success
[INFO]  load model ../model/yolov5_original.om success
[INFO]  create model description success
[INFO]  create model output success
[INFO]  start to process file:../data/dog_bike_car_yolov5.bin
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  GetStrideParam success
[INFO]  GetInputDataSize == 0 success
[INFO]  get pic device buffer success
[INFO]  execute InitInput success
[INFO]  execute CreateInput success
[INFO]  CreateInputBuf success
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  svp_acl_mdl_get_input_dims success
[INFO]  svp_acl_mdl_get_input_default_stride success
[INFO]  svp_acl_mdl_get_input_size_by_index success
[INFO]  CreateTaskBufAndWorkBuf success
[INFO]  modelId_:40
lib
/home/getnfs/lib/opencv/lib # ls -l
total 6540
lrwxrwxrwx    1 1000     1000            21 Jan  2 16:05 libopencv_core.so -> libopencv_core.so.405
-rw-r--r--    1 1000     1000       2613976 Oct 14 20:06 libopencv_core.so.4.5.5
lrwxrwxrwx    1 1000     1000            23 Jan  2 16:05 libopencv_core.so.405 -> libopencv_core.so.4.5.5
lrwxrwxrwx    1 1000     1000            24 Jan  2 16:05 libopencv_highgui.so -> libopencv_highgui.so.405
-rw-r--r--    1 1000     1000        174016 Oct 14 20:08 libopencv_highgui.so.4.5.5
lrwxrwxrwx    1 1000     1000            26 Jan  2 16:05 libopencv_highgui.so.405 -> libopencv_highgui.so.4.5.5
lrwxrwxrwx    1 1000     1000            26 Jan  2 16:05 libopencv_imgcodecs.so -> libopencv_imgcodecs.so.405
-rw-r--r--    1 1000     1000        919496 Oct 14 20:08 libopencv_imgcodecs.so.4.5.5
lrwxrwxrwx    1 1000     1000            28 Jan  2 16:05 libopencv_imgcodecs.so.405 -> libopencv_imgcodecs.so.4.5.5
lrwxrwxrwx    1 1000     1000            24 Jan  2 16:05 libopencv_imgproc.so -> libopencv_imgproc.so.405
-rw-r--r--    1 1000     1000       2981656 Oct 14 20:08 libopencv_imgproc.so.4.5.5
lrwxrwxrwx    1 1000     1000            26 Jan  2 16:05 libopencv_imgproc.so.405 -> libopencv_imgproc.so.4.5.5
/home/getnfs/lib/amp_a55_linux_e/lib # ls -l
total 130404
-rwxrwxrwx    1 1000     1000        413378 Sep 26 21:38 libaac_comm.a
-rwxrwxrwx    1 1000     1000        239312 Sep 26 21:38 libaac_comm.so
-rwxrwxrwx    1 1000     1000        914346 Sep 26 21:38 libaac_dec.a
-rwxrwxrwx    1 1000     1000        526688 Sep 26 21:38 libaac_dec.so
-rwxrwxrwx    1 1000     1000        640018 Sep 26 21:38 libaac_enc.a
-rwxrwxrwx    1 1000     1000        350048 Sep 26 21:38 libaac_enc.so
-rwxrwxrwx    1 1000     1000        237770 Sep 26 21:38 libaac_sbr_dec.a
-rwxrwxrwx    1 1000     1000        145248 Sep 26 21:38 libaac_sbr_dec.so
-rwxrwxrwx    1 1000     1000        277234 Sep 26 21:38 libaac_sbr_enc.a
-rwxrwxrwx    1 1000     1000        153440 Sep 26 21:38 libaac_sbr_enc.so
-rwxrwxrwx    1 1000     1000         46016 Sep 26 21:38 libacs.a
-rwxrwxrwx    1 1000     1000         34576 Sep 26 21:38 libacs.so
-rwxrwxrwx    1 1000     1000      17304822 Sep 26 21:38 libaiisp.a
-rwxrwxrwx    1 1000     1000       4275912 Sep 26 21:38 libaiisp.so
-rwxrwxrwx    1 1000     1000         46906 Sep 26 21:38 libbnr.a
-rwxrwxrwx    1 1000     1000         30480 Sep 26 21:38 libbnr.so
-rwxrwxrwx    1 1000     1000         11410 Sep 26 21:38 libcalcflicker.a
-rwxrwxrwx    1 1000     1000         14096 Sep 26 21:38 libcalcflicker.so
-rwxrwxrwx    1 1000     1000         32980 Sep 26 21:38 libdehaze.a
-rwxrwxrwx    1 1000     1000         26384 Sep 26 21:38 libdehaze.so
-rwxrwxrwx    1 1000     1000         62772 Sep 26 21:38 libdnvqe.a
-rwxrwxrwx    1 1000     1000         31176 Sep 26 21:38 libdnvqe.so
-rwxrwxrwx    1 1000     1000         56224 Sep 26 21:38 libdrc.a
-rwxrwxrwx    1 1000     1000         38672 Sep 26 21:38 libdrc.so
-rwxrwxrwx    1 1000     1000          4294 Sep 26 21:38 libextend_stats.a
-rwxrwxrwx    1 1000     1000          5904 Sep 26 21:38 libextend_stats.so
-rwxrwxrwx    1 1000     1000        173004 Sep 26 21:38 libfileformat.a
-rwxrwxrwx    1 1000     1000         67512 Sep 26 21:38 libfileformat.so
-rwxrwxrwx    1 1000     1000        568258 Sep 26 21:38 libheif.a
-rwxrwxrwx    1 1000     1000        182200 Sep 26 21:38 libheif.so
-rwxrwxrwx    1 1000     1000          3826 Sep 26 21:38 libir_auto.a
-rwxrwxrwx    1 1000     1000          5904 Sep 26 21:38 libir_auto.so
-rwxrwxrwx    1 1000     1000         26704 Sep 26 21:38 libldci.a
-rwxrwxrwx    1 1000     1000         18192 Sep 26 21:38 libldci.so
-rwxrwxrwx    1 1000     1000         16490 Sep 26 21:38 libmbedtls_harden_adapt.a
-rwxrwxrwx    1 1000     1000         14496 Sep 26 21:38 libmbedtls_harden_adapt.so
-rwxrwxrwx    1 1000     1000        138892 Sep 26 21:38 libmp3_dec.a
-rwxrwxrwx    1 1000     1000         67344 Sep 26 21:38 libmp3_dec.so
-rwxrwxrwx    1 1000     1000         10000 Sep 26 21:38 libmp3_enc.so
-rwxrwxrwx    1 1000     1000        284552 Sep 26 21:38 libmp3_lame.so
-rwxrwxrwx    1 1000     1000        682890 Sep 26 21:38 libopus.a
-rwxrwxrwx    1 1000     1000        333664 Sep 26 21:38 libopus.so
-rwxrwxrwx    1 1000     1000       1567668 Sep 26 21:38 libot_mpi_isp.a
-rwxrwxrwx    1 1000     1000        604232 Sep 26 21:38 libot_mpi_isp.so
-rwxrwxrwx    1 1000     1000         51234 Sep 26 21:38 libprotobuf-c.a
lrwxrwxrwx    1 1000     1000            22 Jan  3 17:15 libprotobuf-c.so -> libprotobuf-c.so.1.0.0
lrwxrwxrwx    1 1000     1000            22 Jan  3 17:15 libprotobuf-c.so.1 -> libprotobuf-c.so.1.0.0
-rwxrwxrwx    1 1000     1000         46968 Sep 26 21:38 libprotobuf-c.so.1.0.0
-rwxrwxrwx    1 1000     1000        153116 Sep 26 21:38 libsecurec.a
-rwxrwxrwx    1 1000     1000         63424 Sep 26 21:38 libsecurec.so
-rwxrwxrwx    1 1000     1000          6926 Sep 26 21:38 libsmmz_agent.a
-rwxrwxrwx    1 1000     1000         10000 Sep 26 21:38 libsmmz_agent.so
-rwxrwxrwx    1 1000     1000        126924 Sep 26 21:38 libsns_gst412c.a
-rwxrwxrwx    1 1000     1000         96616 Sep 26 21:38 libsns_gst412c.so
-rwxrwxrwx    1 1000     1000        143594 Sep 26 21:38 libsns_imx347_slave.a
-rwxrwxrwx    1 1000     1000        100816 Sep 26 21:38 libsns_imx347_slave.so
-rwxrwxrwx    1 1000     1000        140106 Sep 26 21:38 libsns_imx515.a
-rwxrwxrwx    1 1000     1000        100768 Sep 26 21:38 libsns_imx515.so
-rwxrwxrwx    1 1000     1000        207558 Sep 26 21:38 libsns_os04a10.a
-rwxrwxrwx    1 1000     1000        148208 Sep 26 21:38 libsns_os04a10.so
-rwxrwxrwx    1 1000     1000        151514 Sep 26 21:38 libsns_os04a10_slave.a
-rwxrwxrwx    1 1000     1000        104840 Sep 26 21:38 libsns_os04a10_slave.so
-rwxrwxrwx    1 1000     1000        173100 Sep 26 21:38 libsns_os08a20.a
-rwxrwxrwx    1 1000     1000        119592 Sep 26 21:38 libsns_os08a20.so
-rwxrwxrwx    1 1000     1000        193934 Sep 26 21:38 libsns_sc450ai.a
-rwxrwxrwx    1 1000     1000        141968 Sep 26 21:38 libsns_sc450ai.so
-rwxrwxrwx    1 1000     1000        197118 Sep 26 21:38 libsns_sc850sl.a
-rwxrwxrwx    1 1000     1000        148144 Sep 26 21:38 libsns_sc850sl.so
-rwxrwxrwx    1 1000     1000         73426 Sep 26 21:38 libss_ivs_md.a
-rwxrwxrwx    1 1000     1000         34576 Sep 26 21:38 libss_ivs_md.so
-rwxrwxrwx    1 1000     1000       1272062 Sep 26 21:38 libss_mpi.a
-rwxrwxrwx    1 1000     1000        419584 Sep 26 21:38 libss_mpi.so
-rwxrwxrwx    1 1000     1000        434090 Sep 26 21:38 libss_mpi_ae.a
-rwxrwxrwx    1 1000     1000        206960 Sep 26 21:38 libss_mpi_ae.so
-rwxrwxrwx    1 1000     1000      17596994 Sep 26 21:38 libss_mpi_ai3dnr.a
-rwxrwxrwx    1 1000     1000       4349648 Sep 26 21:38 libss_mpi_ai3dnr.so
-rwxrwxrwx    1 1000     1000      17631164 Sep 26 21:38 libss_mpi_aibnr.a
-rwxrwxrwx    1 1000     1000       4343792 Sep 26 21:38 libss_mpi_aibnr.so
-rwxrwxrwx    1 1000     1000      17602858 Sep 26 21:38 libss_mpi_aidestrip.a
-rwxrwxrwx    1 1000     1000       4345552 Sep 26 21:38 libss_mpi_aidestrip.so
-rwxrwxrwx    1 1000     1000      17581220 Sep 26 21:38 libss_mpi_aidrc.a
-rwxrwxrwx    1 1000     1000       4333344 Sep 26 21:38 libss_mpi_aidrc.so
-rwxrwxrwx    1 1000     1000        571144 Sep 26 21:38 libss_mpi_audio.a
-rwxrwxrwx    1 1000     1000        206848 Sep 26 21:38 libss_mpi_audio.so
-rwxrwxrwx    1 1000     1000        104940 Sep 26 21:38 libss_mpi_audio_adp.a
-rwxrwxrwx    1 1000     1000         38688 Sep 26 21:38 libss_mpi_audio_adp.so
-rwxrwxrwx    1 1000     1000        159712 Sep 26 21:38 libss_mpi_awb.a
-rwxrwxrwx    1 1000     1000         83728 Sep 26 21:38 libss_mpi_awb.so
-rwxrwxrwx    1 1000     1000        140308 Sep 26 21:38 libss_mpi_cipher.a
-rwxrwxrwx    1 1000     1000         42768 Sep 26 21:38 libss_mpi_cipher.so
-rwxrwxrwx    1 1000     1000         34960 Sep 26 21:38 libss_mpi_dpu_match.a
-rwxrwxrwx    1 1000     1000         18192 Sep 26 21:38 libss_mpi_dpu_match.so
-rwxrwxrwx    1 1000     1000         39378 Sep 26 21:38 libss_mpi_dpu_rect.a
-rwxrwxrwx    1 1000     1000         18192 Sep 26 21:38 libss_mpi_dpu_rect.so
-rwxrwxrwx    1 1000     1000         18706 Sep 26 21:38 libss_mpi_fisheye_calibrate.a
-rwxrwxrwx    1 1000     1000         14096 Sep 26 21:38 libss_mpi_fisheye_calibrate.so
-rwxrwxrwx    1 1000     1000         56754 Sep 26 21:38 libss_mpi_isp.a
-rwxrwxrwx    1 1000     1000         34504 Sep 26 21:38 libss_mpi_isp.so
-rwxrwxrwx    1 1000     1000        310048 Sep 26 21:38 libss_mpi_ive.a
-rwxrwxrwx    1 1000     1000        108304 Sep 26 21:38 libss_mpi_ive.so
-rwxrwxrwx    1 1000     1000         35552 Sep 26 21:38 libss_mpi_km.a
-rwxrwxrwx    1 1000     1000         14152 Sep 26 21:38 libss_mpi_km.so
-rwxrwxrwx    1 1000     1000         96020 Sep 26 21:38 libss_mpi_mcf.a
-rwxrwxrwx    1 1000     1000         38736 Sep 26 21:38 libss_mpi_mcf.so
-rwxrwxrwx    1 1000     1000         62008 Sep 26 21:38 libss_mpi_mcf_calibrate.a
-rwxrwxrwx    1 1000     1000         38688 Sep 26 21:38 libss_mpi_mcf_calibrate.so
-rwxrwxrwx    1 1000     1000         41900 Sep 26 21:38 libss_mpi_motionfusion.a
-rwxrwxrwx    1 1000     1000         18192 Sep 26 21:38 libss_mpi_motionfusion.so
-rwxrwxrwx    1 1000     1000         14380 Sep 26 21:38 libss_mpi_otp.a
-rwxrwxrwx    1 1000     1000         10056 Sep 26 21:38 libss_mpi_otp.so
-rwxrwxrwx    1 1000     1000         25004 Sep 26 21:38 libss_mpi_snap.a
-rwxrwxrwx    1 1000     1000         18192 Sep 26 21:38 libss_mpi_snap.so
-rwxrwxrwx    1 1000     1000         43054 Sep 26 21:38 libss_mpi_sysbind.a
-rwxrwxrwx    1 1000     1000         18192 Sep 26 21:38 libss_mpi_sysbind.so
-rwxrwxrwx    1 1000     1000         45472 Sep 26 21:38 libss_mpi_sysmem.a
-rwxrwxrwx    1 1000     1000         18200 Sep 26 21:38 libss_mpi_sysmem.so
-rwxrwxrwx    1 1000     1000         60508 Sep 26 21:38 libss_mpi_thermo.a
-rwxrwxrwx    1 1000     1000         30536 Sep 26 21:38 libss_mpi_thermo.so
-rwxrwxrwx    1 1000     1000         18074 Sep 26 21:38 libss_mpi_uvc.a
-rwxrwxrwx    1 1000     1000         10000 Sep 26 21:38 libss_mpi_uvc.so
-rwxrwxrwx    1 1000     1000        474584 Sep 26 21:38 libss_stitch_lut.a
-rwxrwxrwx    1 1000     1000        223160 Sep 26 21:38 libss_stitch_lut.so
-rwxrwxrwx    1 1000     1000         33602 Sep 26 21:38 libss_tde.a
-rwxrwxrwx    1 1000     1000         18192 Sep 26 21:38 libss_tde.so
-rwxrwxrwx    1 1000     1000       1266404 Sep 26 21:38 libsvp_acl.a
-rwxrwxrwx    1 1000     1000        446272 Sep 26 21:38 libsvp_acl.so
-rwxrwxrwx    1 1000     1000       1288276 Sep 26 21:38 libsvp_acl_sec.a
-rwxrwxrwx    1 1000     1000        454464 Sep 26 21:38 libsvp_acl_sec.so
-rwxrwxrwx    1 1000     1000        182184 Sep 26 21:38 libsvp_aicpu.so
-rwxrwxrwx    1 1000     1000         26004 Sep 26 21:38 libteec.a
-rwxrwxrwx    1 1000     1000         22368 Sep 26 21:38 libteec.so.1
-rwxrwxrwx    1 1000     1000        101304 Sep 26 21:38 libupvqe.a
-rwxrwxrwx    1 1000     1000         43464 Sep 26 21:38 libupvqe.so
-rwxrwxrwx    1 1000     1000         53706 Sep 26 21:38 libvoice_engine.a
-rwxrwxrwx    1 1000     1000         32616 Sep 26 21:38 libvoice_engine.so
-rwxrwxrwx    1 1000     1000        111888 Sep 26 21:38 libvqe_aec.a
-rwxrwxrwx    1 1000     1000         63384 Sep 26 21:38 libvqe_aec.so
-rwxrwxrwx    1 1000     1000         75190 Sep 26 21:38 libvqe_agc.a
-rwxrwxrwx    1 1000     1000         42904 Sep 26 21:38 libvqe_agc.so
-rwxrwxrwx    1 1000     1000         84306 Sep 26 21:38 libvqe_anr.a
-rwxrwxrwx    1 1000     1000         51096 Sep 26 21:38 libvqe_anr.so
-rwxrwxrwx    1 1000     1000         14152 Sep 26 21:38 libvqe_common.so
-rwxrwxrwx    1 1000     1000         55114 Sep 26 21:38 libvqe_eq.a
-rwxrwxrwx    1 1000     1000         34712 Sep 26 21:38 libvqe_eq.so
-rwxrwxrwx    1 1000     1000         16040 Sep 26 21:38 libvqe_hpf.a
-rwxrwxrwx    1 1000     1000         10136 Sep 26 21:38 libvqe_hpf.so
-rwxrwxrwx    1 1000     1000        301488 Sep 26 21:38 libvqe_record.a
-rwxrwxrwx    1 1000     1000        161912 Sep 26 21:38 libvqe_record.so
-rwxrwxrwx    1 1000     1000        204254 Sep 26 21:38 libvqe_res.a
-rwxrwxrwx    1 1000     1000        155392 Sep 26 21:38 libvqe_res.so
-rwxrwxrwx    1 1000     1000        626064 Sep 26 21:38 libvqe_talkv2.a
-rwxrwxrwx    1 1000     1000        362384 Sep 26 21:38 libvqe_talkv2.so

易百纳技术社区文件: Debug 运行yolov5 demo 异常.md
下载
我来回答
回答5个
时间排序
认可量排序

mm

2个粉丝

0

问答

0

专栏

0

资料

mm 2024-03-14 17:05:30
认可0

请问楼主解决了吗

BowerLai

0个粉丝

0

问答

0

专栏

0

资料

BowerLai 2024-04-17 16:53:00
认可0

主要是lib库.so 驱动.ko 板上的与编译环境上链接时用的要一致。

kjl
kjl   回复   BowerLai  2024-04-23 15:10:28
0

请问我动态库LD_LIBRARY_PATH里存在libsvp_acl.so libsvp_aicpu.so等文件,但是板端运行时候报错dlopen xxx.so failed和error while loading shared libraries是怎么回事儿?我用的都是海思sdk提供的库呀

BowerLai
BowerLai   回复   kjl  2024-04-28 16:33:44
0

export LD_LIBRARY_PATH是在板端设置的吗,env板端的结果看看

SkyLe

0个粉丝

2

问答

0

专栏

0

资料

SkyLe 2024-06-21 10:40:05
认可0

楼主,我想问问onnx转om模型的atc工具是不是和928的工具一样的呀?求解答

或将文件直接拖到这里
悬赏:
E币
网盘
* 网盘链接:
* 提取码:
悬赏:
E币

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
+ 添加网盘链接/附件

Markdown 语法

  • 加粗**内容**
  • 斜体*内容*
  • 删除线~~内容~~
  • 引用> 引用内容
  • 代码`代码`
  • 代码块```编程语言↵代码```
  • 链接[链接标题](url)
  • 无序列表- 内容
  • 有序列表1. 内容
  • 缩进内容
  • 图片![alt](url)
相关问答
无更多相似问答 去提问
举报反馈

举报类型

  • 内容涉黄/赌/毒
  • 内容侵权/抄袭
  • 政治相关
  • 涉嫌广告
  • 侮辱谩骂
  • 其他

详细说明

易百纳技术社区