基于FPGA的人脸位置定位的仿真实现

david 2022-01-31 09:00:12 1255

基于FPGA的人脸位置定位的仿真实现

1 概述

在肤色识别算法中,常用的颜色空间为Ycbcr,Y代表亮度,cb代表蓝色分量,cr代表红色分量。

由于肤色在 YCbCr 空间受亮度信息的影响较小,本算法直接考虑 YCbCr 空间的 CbCr 分量,映射为两维独立分布的 CbCr 空间。在 CbCr 空间下,肤色类聚性好,利用人工阈值法将肤色与非肤色区域分开,形成二值图像。

RGB 转 YCbCr 的公式为:

Y =0.257*R+0.564*G+0.098*B+16

Cb=-0.148*R-0.291*G+0.439*B+128

Cr =0.439*R-0.368*G-0.071*B+128

对肤色进行判定的条件常使用如下判定条件:

Cb > 77&& Cb

Cr > 133&& Cr

本节目标:在复杂环境中识别人脸肤色,并且提取肤色,完成人脸位置的定位。

2实验程序

图1 实验原图

由于图1不是800*600的图像,我们使用画图工具将图1转化成800*600。

通过matlab查找人脸肤色范围如图2.

图2 matlab查找人脸肤色范围(cb,cr)

人脸颜色转化为黑色,其他颜色转化为背景白色。

/*

Modulename: face_location.v

Description: face location

Data: 2018/11/21

e-mail: 137194782@qq.com

微信公众号: FPGA开源工作室

*/

`timescale1ns/1ps

moduleface_location(

input pix_clk,

input reset_n,

input [23:0] i_rgb,

input [23:0] i_gray,

input [23:0] i_ycbcr,

input i_h_sync,

input i_v_sync,

input i_de,

output [23:0] skin_binary_image,

output [23:0] skin_rgb_image,

output [23:0] skin_gray_image,

output o_h_sync,

output o_v_sync,

output o_de

);

//*****************************************

// skindetection parameter define

//*****************************************

parameterY_LOW = 8'd35;

parameterY_HIGH = 8'd60;

parameterCB_LOW = 8'd93;

parameterCB_HIGH = 8'd120;

parameterCR_LOW = 8'd135;

parameterCR_HIGH = 8'd165;

wire [7 : 0] y_8b;

wire [7 : 0] cb_8b;

wire [7 : 0] cr_8b;

reg h_sync_delay;

reg v_sync_delay;

reg de_delay;

reg [23:0] skin_binary_r;

reg [23:0] skin_rgb_r;

reg [23:0] skin_gray_r;

assign y_8b =i_ycbcr[23:16];

assign cb_8b = i_ycbcr[15:8];

assign cr_8b = i_ycbcr[7:0];

always@(posedge pix_clk or negedge reset_n) begin

if(!reset_n) begin

skin_binary_r

skin_rgb_r

skin_gray_r

end

else if((cb_8b > CB_LOW && cb_8b CR_LOW && cr_8b

skin_binary_r

skin_rgb_r

skin_gray_r

end

else begin

skin_binary_r

skin_rgb_r

skin_gray_r

end

end

always@(posedge pix_clk) begin

h_sync_delay

v_sync_delay

de_delay

end

assigno_h_sync = h_sync_delay;

assigno_v_sync = v_sync_delay;

assigno_de = de_delay;

assignskin_binary_image = skin_binary_r;

assignskin_rgb_image = skin_rgb_r;

assignskin_gray_image = skin_gray_r;

endmodule

3 实验结果

图3 实验结果

从图3中可以看出img5提取出了人脸肤色,img6框出了人脸范围。实验成功。

图4 实验结果图

总结:本节实验只是简单的人脸位置定位仿真程序,对于多个人脸,或者有其他类似肤色干扰暂时还不能识别。

转载:全栈芯片工程师

声明:本文内容由易百纳平台入驻作者撰写,文章观点仅代表作者本人,不代表易百纳立场。如有内容侵权或者其他问题,请联系本站进行删除。
david
红包 点赞 收藏 评论 打赏
评论
0个
内容存在敏感词
手气红包
    易百纳技术社区暂无数据
相关专栏
置顶时间设置
结束时间
删除原因
  • 广告/SPAM
  • 恶意灌水
  • 违规内容
  • 文不对题
  • 重复发帖
打赏作者
易百纳技术社区
david
您的支持将鼓励我继续创作!
打赏金额:
¥1易百纳技术社区
¥5易百纳技术社区
¥10易百纳技术社区
¥50易百纳技术社区
¥100易百纳技术社区
支付方式:
微信支付
支付宝支付
易百纳技术社区微信支付
易百纳技术社区
打赏成功!

感谢您的打赏,如若您也想被打赏,可前往 发表专栏 哦~

举报反馈

举报类型

  • 内容涉黄/赌/毒
  • 内容侵权/抄袭
  • 政治相关
  • 涉嫌广告
  • 侮辱谩骂
  • 其他

详细说明

审核成功

发布时间设置
发布时间:
是否关联周任务-专栏模块

审核失败

失败原因
备注
拼手气红包 红包规则
祝福语
恭喜发财,大吉大利!
红包金额
红包最小金额不能低于5元
红包数量
红包数量范围10~50个
余额支付
当前余额:
可前往问答、专栏板块获取收益 去获取
取 消 确 定

小包子的红包

恭喜发财,大吉大利

已领取20/40,共1.6元 红包规则

    易百纳技术社区